Relógio digital implementado com Flip-Flop D

Aqui está o nosso projeto do relógio digital com Flip-Flops D contando de 00:00:00 até 23:59:59. O vídeo do funcionamento do relógio está abaixo , com o relatório de implementação e funcionamento e o link para download do esquemático do circuito para simulação no MultiSim 13.

Relatório do projeto:

Relatório da Implementação do Relógio D.pdf (3150609)

Link para download do arquivo de simulação do projeto na extensão .ms13:

mega.co.nz/#!CEoglTwD!iwD2X1FLYMwBMS9Jx25bU2GIucCBq3A-UhyIxlWQCm0